- Overview
- Key Features and Benefits
- New Slack-Driven Placement Technique
- Advanced Timing- and Power-Driven Optimization
- Clock Concurrent Optimization with True Multithreading
- Routing and Interconnect Optimization Engine
- Accelerating TAT
- Advanced-Node Implementation Features
- Common UI for Ease of Use
- Cadence Services and Support
Datasheet
Innovus Implementation System
Meet PPA and TAT targets at advanced nodes
At advanced nodes, there’s a deep conflict between power, performance, and area (PPA) and design turnaround time (TAT). New physical and electrical design challenges emerge, and structures such as FinFETs create new considerations. To remain competitive, you can’t afford to make any tradeoffs to either PPA or TAT. With the features and functions available in the Cadence Innovus Implementation System, you won’t have to.
Overview
Overview
A physical implementation tool for high-density designs at advanced and established process nodes, the Innovus Implementation System delivers a typical 10%-20% PPA advantage along with an up to 10X TAT gain. Providing the industry’s first massively parallel solution, the Innovus Implementation System can effectively handle blocks as large as 5-10 million instances or more.
The Innovus Implementation System provides new capabilities in placement, optimization, routing, and clocking. Its unique architecture accounts for upstream and downstream steps and effects in the design flow to minimize design iterations and provide a runtime boost. Using the Innovus Implementation System, you’ll be equipped to build integrated, differentiated systems with less risk.
Key Features and Benefits
Advanced Timing- and Power-Driven Optimization
With these capabilities, you can maintain critical layer assignments during the entire pre-route optimization flow. These assignments are passed on to the system’s next-generation massively parallel global routing engine so that the final routing will also have the correct layer assignment.
The optimization engine also helps reduce dynamic and leakage power while facilitating optimal performance. A decision engine inside the system makes use of a rich library of power-aware transforms to step through the available options and reclaim power without affecting timing. This minimizes leakage, as well as internal and switching power globally.
The engine supports multiple formats: VCD, TCF, SAF, and SAIF. If switching activity data is unavailable, the engine employs probability-based propagation. The engine thus makes the best judgment in terms of finding the optimal power solution to lower power of an SoC without compromising on performance or area.
Routing and Interconnect Optimization Engine
The Innovus Implementation System features a proven routing and interconnect optimization engine that facilitates total routing convergence on timing, area, power, signal integrity, and manufacturing goals. This engine, with its massively parallel architecture, provides full-flow timing correlation, deterministic multithreading, and a flexible 2D/3D congestion mode.
The Early Global Route (eGR) feature brings further improvements in TNS and WNS, along with predictable design closure. The routing and interconnect optimization engine also:
The NanoRoute tool also provides a structured router capability that can be used for selective pre-routes, shielding, and high-frequency bus routing, as well as for nets having length/resistance matching requirements.
Accelerating TAT
Advanced-Node Implementation Features
The Innovus Implementation System has a complete feature set to address the requirements needed for implementation at advanced FinFET nodes. Special features are available to handle the placement needs for macros and standard cells early in the floorplanning stage. The placement engine has updates to handle pin access requirements for advanced-node libraries and the NanoRoute tool can handle and optimize routes for self-aligned double patterning technology. The new Via Pillar insertion flow and methodology allow you to push performance while meeting electromigration requirements. The updated optimization engine can accurately model the low voltage effects to give near signoff quality static timing results for faster design convergence.
Common UI for Ease of Use
The Innovus Implementation System is integrated with Cadence’s Tempus static timing analysis, Quantus extraction, and Voltus power integrity technologies, so you can accurately model the timing, parasitics, and signal and power integrity issues at the early stage of physical implementation. This facilitates faster convergence on these electrical metrics, resulting in faster design closure.
The implementation system has a common UI with Cadence’s Genus Synthesis Solution and the Tempus Timing Signoff Solution. The system simplifies command naming and aligns common implementation methods across these Cadence digital and signoff tools. For example, the processes of design initialization, database access, command consistency, and metric collection have all been streamlined and simplified. In addition, updated and shared methods have been added to run, define, and deploy reference flows. These updated interfaces and reference flows increase productivity by delivering a familiar interface across core implementation and signoff products. You can take advantage of consistently robust RTL-to-signoff reporting and management, as well as a customizable environment.