Industry’s Fastest Adopted and Trusted Signoff Solution for FinFET Designs

The Cadence Tempus Timing Solution is the industry’s most trusted static timing analysis (STA) tool for FinFET designs. It is the fastest STA tool in the industry, providing faster design closure turnaround time while delivering the best-in-its-class power, performance, and area (PPA). Customers trust innovative Tempus capabilities such as SmartScope, CMMMC, Design Robustness Analysis (DRA) Suite, SmartMMMC Optimization, etc. to optimize and signoff their most complex, large, and complicated designs at advanced nodes.

The Tempus solution is deeply integrated with Cadence’s Innovus Implementation System, Quantus Extraction Solution, and Voltus IC Power Solution to deliver the best user experience during the entire design cycle.

cube design

Advantages of Empowering Design Teams Through Productivity Boosts

Better PPA

Optimized for better PPA and signoff closure while employing AI

Improved Productivity

Industry’s fastest runtimes on a single machine or in the cloud with 5X faster runtime with CMMMC technology

Foundry Certified

Fully certified down to 3nm

Familiar User Interface

Streamlines flow development and simplifies user trainings with new common user interface shared across the Cadence digital full flow

Faster Design Closure Turnaround Time While Delivering the Best-in-Class Power

Tempus ECO

  • Physically aware timing and power optimization
  • Speed design closure time by 3X with best PPA
  • Fewest iterations provides most convergent ECO
  • Save up to 5% dynamic power
  • Common signoff engines used for faster convergence

Signoff

DSTA

  • Production-proven capacity to time over 1B instances flat with unique DSTA for full chip signoff
  • Tempus DSTA distributes STA across smaller-memory machines
  • Same accuracy as single-machine STA
  • Ideal for cloud deployment
  • Same look and feel and easily integates into existing STA flow

 

Image

SmartScope

  • Faster runtime and reduced memory with hierarchical abstraction and boundary models
  • Timing closure on multiply-instanced modules
  • Provide same accuracy as flat STA
  • Facilitates parallel closure of block and top-level

Tempus DRA Suite

 

Aging-Aware STA

  • Breakthrough technology to address aging problem for automotive, aerospace, consumer, mobile, and hyperscale designs with Liberate Characterization Solution and Tempus
  • Consumes aging libraries, that supports various stress conditions and recovery, generated by Liberate Characterization Solution
  • SPICE-accurate aging analysis capability for long-term reliability with better PPA
  • Address instance-specific and non-uniform aging (aging context can be different than STA condition)
  • Accurate analysis leading to avoiding over design and improve PPA

Tempus Power Integrity (PI)

  • Breakthrough technology in providing true-signoff solution
  • Seamless Tempus and Voltus integration to provide next-generation IR drop analysis and fixing technology
  • Catching timing violations missed by today’s traditional IR-drop signoff methodology resulting in dead silicon
  • Automated fixing by Tempus ECO the IR drop issues by optimizing both the victim and aggressor paths
  • Reduce max IR-drop design margin and achieving best PPA

Timing Robustness

  • Statistical measure of silicon performance
  • Mathematically model design robustness using LVF libs
  • Meet reliability targets (ex. 4-sigma) while significantly improving (by several %) design PPA

Silicon Prediction

  • Silicon tuned continuously with quick feedback for adjusted design timing
  • True signoff and optimization with predicted delays
  • Better silicon with PPA improvements and timing yield prediction
  • Accurate statistical modeling of identified discrete parameters of modeling silicon variations in pre-silicon signoff STA
  • Performs model-to-hardware correlations to achieve silicon goodness

VT Skew Robustness

  • Provides advanced techniques to address pessimistic STA methodology involving analysis of mixed VT classes
  • Performs optimum permutations using PBA engine to identify worst-case slack
  • Details of the VT skew robustness analysis are available in the timing report

See What Customers Have to Say About the Tempus Timing Solution

Mixed-Signal STA

 

  • Supports mixed-signal design through integration with Virtuoso Open Access database

See What Customers Have to Say About the Tempus Timing Solution

Browse Recommended Resources

Need Help?

Training

The Training Learning Maps help you get a comprehensive visual overview of learning opportunities.
Training News - Subscribe

Browse training

Online Support

The Cadence Online Support (COS) system fields our entire library of accessible materials for self-study and step-by-step instruction.

Request Support

Technical Forums

Find community on the technical forums to discuss and elaborate on your design ideas.


Find Answers in cadence technical forums